CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 串口 vhdl

搜索资源列表

  1. 串口通讯VHDL源码

    1下载:
  2. 采用VHDL编写的串口通信。
  3. 所属分类:串口编程

  1. VHDL实现RS232串口通信源码

    0下载:
  2. QUARTUS2下VHDL实现串口通信的源码,整个工程分四个模块:顶层,波特率产生模块,发送模块,接受模块。
  3. 所属分类:源码下载

    • 发布日期:2011-06-30
    • 文件大小:2464
    • 提供者:lkac13
  1. VHDL串口通信(Qusrtus)

    0下载:
  2. Qusrtus工程 EP2C8Q208CN.串口通信,按键显示终端Welcome,串口控制数码管
  3. 所属分类:源码下载

    • 发布日期:2011-07-24
    • 文件大小:475865
    • 提供者:kerwin666
  1. RS232串口通信协议

    0下载:
  2. RS232串口通信协议,verilog实现,通过FPGA完全调通。,RS232 serial communication protocol, verilog achieved entirely through the FPGA transfer pass.
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-25
    • 文件大小:3536
    • 提供者:dingsheng
  1. FPGACOM.rar

    1下载:
  2. FPGA编程实现串口通信,源代码全。包括仿真程序。,FPGA programming serial communications, the entire source code. Including the simulation program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1270
    • 提供者:duncan
  1. vhdl.rar

    0下载:
  2. 一个很好用的串口的VHDL实现。。quartus2编译通过,Serial port with a very good realization of VHDL. . quartus2 compiled through
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:4212
    • 提供者:hehe520
  1. 标准的串口通讯设计VHDL

    0下载:
  2. 标准的异步串口通讯设计程序——基于VHDL编程-communication design programme of standard asynchronous serial port base on VHDL programme
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:10885
    • 提供者:于飞
  1. rs232

    0下载:
  2. fpga的串口读写程序,经硬件测试成功,波特率9600.可以改变分频值适应不同的时钟和波特率-fpga serial read and write procedures, by the hardware to test the success of 9600 baud rate. frequency value can be changed to adapt to a different clock and baud rate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:383623
    • 提供者:cjy
  1. uart_vhdl

    0下载:
  2. 串口通讯的VHDL源码,波特率可自行设置,验证通过。-UART VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:4879
    • 提供者:陈家钧
  1. serial

    0下载:
  2. -- 本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在 --PC机上安装一个串口调试工具来验证程序的功能。 -- 程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控 --制器,10个bit是1位起始位,8个数据位,1个结束 --位。串口的波特律由程序中定义的div_par参数决定,更改该参数可以实 --现相应的波特率。程序当前设定的div_par 的值是0x104,对应的波特率是 --9600。用一个8倍波特率的时钟将发送或接受每一位bit的周期时
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:65639
    • 提供者:johnson
  1. Uartmodule

    0下载:
  2. 实现FPGA与PC机的串口通信功能,实现数据的收发。-FPGA with the realization of PC-serial communication functions to send and receive data.
  3. 所属分类:Com Port

    • 发布日期:2017-03-31
    • 文件大小:40424
    • 提供者:JET
  1. zzx

    0下载:
  2. 这个并串转换代码是依靠同步状态机来实现其控制的。其实并串转换在实际的电路中使用还是比较多的,尤其在通信线路方面的复用和分解方面,原理上就是一个串并转换和并串转换的过程。举个简单的例子,计算机串口发送数据的过程,如果满足发送条件了,其实就是一个并串转换的过程了。好了,废话不说,看代码就是。 写完一看,一个并串转换居然搞了这么大,有点失败。但是整个代码已经通过了后仿真,而且思路还是比较清楚的,可靠性和稳定性方面也应该没有问题滴,呵呵。不过说老实话,里面有些信号是确实可以去掉的,不过后来就懒
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:7011
    • 提供者:zzx
  1. uart

    0下载:
  2. 串口通讯rs232,时钟频率为40Mhz,波特率为19200,没有奇偶校验,在xilinx XC3S200A板子上验证过.-Serial communication rs232, clock frequency of 40Mhz, the baud rate to 19200, no parity, in the board on xilinx XC3S200A verified.
  3. 所属分类:Com Port

    • 发布日期:2017-03-29
    • 文件大小:6709
    • 提供者:zhangjiansen
  1. uart

    0下载:
  2. FPGA的串口模块,实现FPGA与PC机的串口通讯。-FPGA serial modules, FPGA implementation with the PC-Serial communication.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:471579
    • 提供者:王小
  1. uartfifo

    0下载:
  2. FPGA串口代码实现,带串口模块控制程序-Realization of UART in FPGA, with UART module control codes.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:564767
    • 提供者:杨文斌
  1. serial

    0下载:
  2. 利用VHDL语言编写的串口程序,可以在Quartus2环境下编译下载-Use the serial language VHDL program can be compiled in an environment Quartus2 Download
  3. 所属分类:Other systems

    • 发布日期:2017-04-27
    • 文件大小:335540
    • 提供者:爱涛
  1. com

    0下载:
  2. VHDL实现串口功能,可以直接拷贝使用。祝大家用得开心-Serial functional VHDL, you can use directly copy. I wish you all much happier with
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:18727
    • 提供者:liuyu
  1. 87361001Uart2

    0下载:
  2. VHDL语言编写的UART串口通讯,2400Hz的波特率时钟-VHDL language UART serial communication, 2400Hz clock of baud rate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:43732
    • 提供者:火箭强
  1. vhdl

    0下载:
  2. vhdl代码串口的实现,每个部分的代码别写好了,元件例化一下即可用,-my english is poor ,i hope this make you understand and help you this is Serial implementation vhdl Categories:hardware
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:9143
    • 提供者:hs
  1. 串口电压表VHDL

    1下载:
  2. 使用 AD 转换器 TLV1570,将 0-2.5V 的电压转换成 10 位二进制结果,再将 10 位二进制结果转换成 4 位 BCD 码 (整数部分 1 位,小数部分 3 位),并通过 UART 串口将数据送上位机 (电脑)进制显示(Serial port voltmeter)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-12-16
    • 文件大小:4210688
    • 提供者:LB明
« 12 3 4 5 6 7 8 9 10 ... 18 »
搜珍网 www.dssz.com